See also stories tagged with Lithography

Search results for lithography

Optics & Photonics Mar 2, 2009

'Voltage Patterning' could be next step in nanostructure lithography

(PhysOrg.com) -- "What you want these days is to have precise control of nanostructures. Using masks and optical techniques, it is possible to control how nanostructures grow for use in practical applications," David Field ...

Electronics & Semiconductors Dec 18, 2008

Toshiba develops cost-effective 32nm CMOS platform technology by advanced single exposure lithography

Toshiba Corporation today announced a cost-effective 32nm CMOS platform technology that offers higher density and improved performance while halving the cost per function from 45nm technology.

Electronics & Semiconductors Jul 9, 2008

Researchers Create Enhanced Light Sources For Lithography

A breakthrough discovery at UC San Diego may help aid the semiconductor industry’s quest to squeeze more information on chips to accelerate the performance of electronic devices. So far, the semiconductor industry has been ...

Nanomaterials Apr 29, 2008

Making a good impression: Nanoimprint lithography tests at NIST

In what should be good news for integrated circuit manufacturers, recent studies by the National Institute of Standards and Technology have helped resolve two important questions about an emerging microcircuit manufacturing ...

Electronics & Semiconductors Feb 26, 2008

AMD, Partners Produce Test Chip Using EUV Lithography

AMD, working together with its research partner, IBM, announced it has produced a working test chip utilizing Extreme Ultra-Violet (EUV) lithography for the critical first layer of metal connections across the entire chip. ...

Electronics & Semiconductors Dec 21, 2007

ASML, Zeiss and Canon Cross-license Lithography Equipment Patent Portfolios

ASML Holding NV and Carl Zeiss SMT today announce that each has signed an agreement with Canon for the global cross-license of patents in their respective fields of semiconductor lithography and optical components, used to ...

General Physics Sep 12, 2007

Lighter gas reduces damage to optics in extreme ultraviolet lithography

Researchers at the University of Illinois have discovered a way to generate light and reduce damage in a leading candidate for next-generation microelectronics lithography. The technique could help pack more power into smaller ...

Electronics & Semiconductors Jul 18, 2007

ASML Ships TWINSCAN XT:1900i Lithography System for Volume Production

ASML today announced that it has shipped its first TWINSCAN XT:1900i, the world’s only lithography system capable of imaging features down to 36.5 nanometers (nm) on chips manufactured in volume. Production of the XT:1900i ...

Electronics & Semiconductors Mar 9, 2007

Research on Novel Materials Holds Key to Extending Immersion Lithography

The future of 193 nm immersion (193i) lithography will be driven by the demonstration of a high-index lens material, invention of a third generation immersion fluid, and development of a high-index photoresist, Sematech-sponsored ...

Electronics & Semiconductors Oct 5, 2006

Sematech Advances Feasibility of 193 nm Immersion Lithography for 45 nm

Sematech researchers have successfully used 193 nm immersion technology (193i) at 1.3 numerical aperture (NA) with azimuthal polarization to pattern features narrower than 45 nm half-pitch in multiple orientations simultaneously. ...

page 4 from 40