Credit: IMEC

This week, at the 2018 IEEE International Electron Devices Meeting (IEDM), imec, the world-leading research and innovation hub in nanoelectronics and digital technologies, presents a 300mm-wafer platform for MOSFET devices with 2-D materials. 2-D materials could provide the path towards extreme device-dimension scaling as they are atomically precise and suffer little from short channel effects. Other possible applications of 2-D materials could come from using them as switches in the BEOL, which puts an upper limit on the allowed temperature budget in the integration flow.

The imec platform integrates as transistor channel WS2, a 2-D material which holds promise for higher ON current compared to most other 2-D materials and good chemical stability. Imec reports here for the first time the MOCVD growth of WS2 on 300mm wafers, a key process step for fabrication. The MOCVD synthesis approach results in thickness control with monolayer precision over the full 300mm wafer and potentially highest mobility matrial. The benefits of the MOCVD growth come at the price of a high temperature while growing the material.

To build a device integration flow which could be compatible with BEOL requirements, the transfer of the channel material from a growth substrate to a device wafer is crucial. Imec is the first to demonstrate a full 300mm monolayer 2-D material transfer, which is very challenging on its own because of the low adhesion of 2-D materials to the device wafer and to the exteme thinness of the material transferred: 0.7nm! The transfer process was developed together with SUSS MicroTec and Brewer Science using temporary bonding and debonding technologies. WS2 wafers are temporarily bonded to glass carrier wafers using a specially formulated material (Brewer Science). Next, the WS2 monolayer is mechanically debonded from the growth wafer and bonded again in vacuum to the device wafer. The carrier is removed using laser debonding. This debonding technique is a key enabler for the controlled transfer of 2-D materials

Iuliana Radu, Beyond CMOS Program Director at imec, explains, "Building the 300mm platform for MOSFET device study with 2-D materials and developing the process step ecosystem speeds-up the technological adoption of these materials. Several challenges are still to be resolved and are the subject of ongoing research and development." Major challenges include scaling the equivalent oxide thickness (EOT) of gate dielectric for 2-D , and reducing channel defectivity to boost mobility.

Provided by IMEC